Talent.com
Physical Design Engineer
Physical Design EngineerSi14 Semiconductor Private Limited • Bengaluru, Karnataka, India
Physical Design Engineer

Physical Design Engineer

Si14 Semiconductor Private Limited • Bengaluru, Karnataka, India
4 days ago
Job description

Interested candidates please apply :

Key Responsibilities :

Mixed-Signal Design :

Design, simulate, and verify analog / mixed-signal IP blocks (ADC, DAC, PLL, LDO, Bandgap, etc.)

Work on schematic design, layout review, and post-layout simulations.

Support integration of analog IPs into SoC environments.

Collaborate with digital and layout teams to ensure performance, power, and area goals are met.

Perform validation and debugging of mixed-signal circuits during silicon bring-up.

Physical Design :

Own and execute digital block and top-level physical design from synthesis to GDSII.

Perform floorplanning, placement, CTS, routing, and timing closure.

Run sign-off checks : DRC, LVS, IR Drop, EM, and STA (Static Timing Analysis).

Collaborate closely with the analog / mixed-signal and verification teams for seamless integration.

Optimize designs for PPA (Power, Performance, Area) and ensure on-time project delivery.

Required Skills and Experience :

Education : B.E. / B.Tech / M.E. / M.Tech in Electronics, Electrical, or VLSI Design.

Experience : Minimum 3 years in mixed-signal or physical design roles in client projects.

Proficiency in Cadence Virtuoso , Spectre , Synopsys ICC2 , Innovus , or PrimeTime .

Strong understanding of CMOS fundamentals , timing analysis , and floorplanning methodologies .

Hands-on experience in analog-digital interface verification and mixed-signal integration .

Exposure to tape-out and post-silicon validation will be an added advantage.

Excellent communication and collaboration skills for cross-functional project engagement.

Preferred Qualifications :

Experience working on client-site projects or multi-site collaboration .

Familiarity with advanced technology nodes (e.g., 7nm, 5nm).

Knowledge of power intent (UPF / CPF) and clock-domain crossing (CDC) checks.

Create a job alert for this search

Design Engineer • Bengaluru, Karnataka, India

Related jobs
Physical Design Engineer

Physical Design Engineer

ACL Digital • Bengaluru, Karnataka, India
Should be able to handle Full chip hashtag Added advantage for #Innovus with Minimum 4 years #PnR (timing / congestion / CTS issues), understanding of hashtag #IO ring, #package support, multi hash...Show more
Last updated: 30+ days ago • Promoted
Principal Physical Design Engineer

Principal Physical Design Engineer

ACL Digital • Bengaluru, Republic Of India, IN
Excellent problem-solving, leadership, and communication skills.Ability to work in a fast-paced environment and lead a cross-functional team. In-depth knowledge of floor planning, power planning, PN...Show more
Last updated: 30+ days ago • Promoted
Principal Physical Design Engineer

Principal Physical Design Engineer

7Rays Semiconductors • Bengaluru, Republic Of India, IN
Experience in Floorplanning for SoC using Innovus.Must have a knowledge and implementation strategies to create an IO ring in accordance design specification. Have a deep knowledge on ESD, latch-up ...Show more
Last updated: 5 days ago • Promoted
Physical Design Engineer

Physical Design Engineer

MediaTek • Bengaluru, India
Netlist2GDS team for Hard Macro, Subsystem, partitions (7nm / 6nm / 5nm / 4nm…) for MediaTek’s Smartphone chip development. Technical Lead for a team of APR engineers for Hardmacros / Subsystems / Partitions....Show more
Last updated: 3 days ago • Promoted
Physical Design Engineer

Physical Design Engineer

LeadSoc Technologies Pvt Ltd • Bangalore, Bangalore (district)
The Physical Design Engineer will be responsible for the full-chip and / or block-level physical implementation of complex digital, mixed-signal, or RF integrated circuits from.This role requires exp...Show more
Last updated: 30+ days ago • Promoted
Lead Physical Design Engineer

Lead Physical Design Engineer

L&T Technology Services • Bengaluru, Karnataka, India
LTTS is hiring for Physical Design Lead with 7+ years of experience on below JD : : .IP / Block level PnR activities from Netlist to GDS-II. Good knowledge of all PnR activities like Floor-planning, Pla...Show more
Last updated: 30+ days ago • Promoted
Physical Design Engineer

Physical Design Engineer

eInfochips (An Arrow Company) • Bengaluru, India
Position : Physical Design Engineer.Location : Bangalore | Ahmedabad | Noida | Pune | Hyderabad.Block-level Physical Design from RTL / Netlist to GDSII. Physical, Timing & Power Signoff at block level.S...Show more
Last updated: 9 days ago • Promoted
Lead Physical Design Engineer

Lead Physical Design Engineer

7Rays Semiconductors • Bengaluru, Republic Of India, IN
Experience in Floorplanning for SoC using Innovus.Must have a knowledge and implementation strategies to create an IO ring in accordance design specification. Have a deep knowledge on ESD, latch-up ...Show more
Last updated: 5 days ago • Promoted
Senior Physical Design Engineer

Senior Physical Design Engineer

ACL Digital • Bangalore Urban, Karnataka, India
PNR Leads Required with Shorter Notice period to lead a Team for a Long-Term Project.Experience with physical verification checks DRC, LVS, Antenna, ERC, PERC, ESD etc. Experience in PnR tools like ...Show more
Last updated: 30+ days ago • Promoted
Principal Physical Design Implementation Engineer

Principal Physical Design Implementation Engineer

LeadSoc Technologies Pvt Ltd • Bengaluru, Republic Of India, IN
Block / SOC-level Physical Design from.CTS, routing, extraction, and DFM.STA, DRC / LVS, Antenna / ERC, LEC, and ECO (timing & functional). Collaborate across teams, demonstrate strong communication, prob...Show more
Last updated: 2 days ago • Promoted
Senior Physical Design Engineer

Senior Physical Design Engineer

LeadSoc Technologies Pvt Ltd • Bengaluru, Karnataka, India
Block / SOC-level Physical Design from.CTS, routing, extraction, and DFM.STA, DRC / LVS, Antenna / ERC, LEC, and ECO (timing & functional). Collaborate across teams, demonstrate strong communication, prob...Show more
Last updated: 3 days ago • Promoted
Lead Physical Design Engineer

Lead Physical Design Engineer

LeadSoc Technologies Pvt Ltd • Bengaluru, Republic Of India, IN
Block / SOC-level Physical Design from.CTS, routing, extraction, and DFM.STA, DRC / LVS, Antenna / ERC, LEC, and ECO (timing & functional). Collaborate across teams, demonstrate strong communication, prob...Show more
Last updated: 2 days ago • Promoted
Physical Design Engineer

Physical Design Engineer

ThunderSoft India Private Limited • Bengaluru, Karnataka, India
Strong STA resource with 5+ year experience.Should have good understanding of STA concept.Should have been part of minumum 2 tapout project. Should know complete STA flow / constraints undestanding / EC...Show more
Last updated: 10 days ago • Promoted
Physical Design Engineer

Physical Design Engineer

L&T Technology Services • Bengaluru, Karnataka, India
L&T Technologies (LTTS) is looking for Physical Design Engineers (PnR)with 4.Please find the detailed job description below : : . IP / Block level PnR activities from Netlist to GDS-II.Good knowledge of...Show more
Last updated: 30+ days ago • Promoted
Physical Design Engineer

Physical Design Engineer

Tata Consultancy Services • Bengaluru, Karnataka, India
Should have in depth experience in Floor-planning, CTS, Power routing, place and route, timing closure, DRC and LVS.Should have worked on the latest technology nodes (14nm or 2nm to 5nm).Must have ...Show more
Last updated: 30+ days ago • Promoted
Senior Physical Design Engineer

Senior Physical Design Engineer

7Rays Semiconductors • Bengaluru, Karnataka, India
Experience in Floorplanning for SoC using Innovus.Must have a knowledge and implementation strategies to create an IO ring in accordance design specification. Have a deep knowledge on ESD, latch-up ...Show more
Last updated: 5 days ago • Promoted
Physical Design Engineer

Physical Design Engineer

Sintegra Inc. • Bengaluru, India
We are seeking a highly experienced Senior Physical Design Engineer with a minimum of 10 years in the RTL to GDSII flow.This role requires hands-on expertise with Cadence Innovus, experience at N3 ...Show more
Last updated: 30+ days ago • Promoted
Physical Design Engineer

Physical Design Engineer

Tranzium Semi Private Limited • Bengaluru South, Karnataka, India
Tranzium Semi Private Limited is a leading innovator in the semiconductor and software industry, dedicated to delivering high-performance, power-efficient chips for multinational technology leaders...Show more
Last updated: 23 days ago • Promoted